Argonne National Laboratory

We fabricate, integrate and manipulate nanostructures, including incorporation—under cleanroom conditions—of elements that couple mechanical, optical, and electrical signals to produce working nanofabricated structures.

A new qubit platform: Electrons from a heated light filament (top) land on solid neon (red block), where a single electron (represented as a wave function in blue) is trapped and manipulated by a superconducting quantum circuit (bottom patterned chip). (Image by Dafei Jin/Argonne National Laboratory

We are advancing the state-of-the-art in nanofabrication and the fundamental science of nanoscale systems. Our group seeks to achieve unprecedented control in the creation, integration, and manipulation of nanostructures that will form the foundation of functional nanoscale devices. The main areas of research of our group fall into the following topics:

  • Integration of hybrid materials and nanostructures
  • Manipulation of nanoscale interactions
  • Study of nonlinear phenomena at the nanoscale

Our research helps to meet the scientific demands of the CNM user community, which requires expertise in nanofabrication and nanodevices and access to state-of-the-art nanofabrication capabilities. A large part of our group’s effort is oriented toward development of novel instrumentation and materials that the user community can exploit to advance their own research programs.

Research activities include:

  • Development of solid lubricants based on 2D materials
  • Research on nonlinear dynamics of nano-mechanical devices
  • Quantum wave-function engineering with quantum solids
  • Creation of superconducting components to advance programs in quantum information science
  • Materials integration and development of novel devices

Key Capabilities

  • Electron Beam Lithography: JEOL 8100FS, Raith 150
  • Optical Lithography: Karl Suss MA6 and ASML PAS 5000 Stepper
  • Laser Pattern Generators: Microtech LW405 and Heidelberg MLA150
  • Ultralow Temperature/Strong Magnetic Field Measurements
  • Focused Ion Beam: FEI Nova 600 NanoLab
  • Plasma Enhanced Chemical Deposition: Applied Materials P5000 and Oxford PlasmaLab 100
  • Temescal FC2000 e-beam evaporator
  • Reactive ion etching: Oxford PlasmaLab and Applied Materials P5000
  • Atomic Layer Deposition: GEMSTAR system
  • AJA systems (oxides, dielectric, metals, etc.)
  • Lambda Microwave Plasma CVD System: nanocrystalline diamond deposition

Group capabilities

General

ADT Dicing Saw

The ADT 7122 Dicing saw is a high precision cutting instrument for silicon, glass, quartz, YIG, GGG, STO, SiC, and sapphire. The saw has the following features: 2”, 2.4 KW spindle; 8 inch chuck: maximum size is a 200 mm wafer, Y axis: resolution: 0.2 micron and index accuracy: 1 micron, and Z Axis: accuracy 2 micron and repeatability 1 micron.

AJA Dielectric Sputtering System

AJA Dielectric Sputtering System (2-inch Targets). This compact AJA Orion thin-film deposition system is mainly designated for deposition of dielectric materials (oxides, nitrides with amorphous or polycrystalline structure) on wafers up to 6 inches in diameter. The sputtering system has a load lock and four magnetron sputtering sources (three RF and one DC) with adjustable tilt and with 2-inch-diameter targets. The substrates can be heated up to 800°C.

AJA Metal Sputtering System

AJA Metal Sputtering System (2-inch Targets). This compact AJA Orion thin-film deposition system is mainly designated for deposition of metal thin films and multilayered metal film structures on up to 6 inch diameter wafers. The sputtering system has a load lock and four magnetron sputtering sources (three RF and one DC) with adjustable tilt and with 2-inch-diameter targets. The substrates can be heated up to 800°C.

AJA Oxide Sputtering, 3 inch targets

AJA Oxide Sputtering System (3-inch Targets). This is a versatile AJA ATC thin-film deposition system designated for deposition of complex oxide thin films and multilayered structures including complex oxides and composite materials. The system is equipped with three 90° off-axis and two on-axis sputtering sources that work with 3-inch-diameter targets. It can accommodate small substrates as well as wafers up to 8 inches in diameter. The substrates can be heated up to 800°C. The system has the capability of cleaning the substrates using RF bias. The load-lock chamber, which accommodates a 6-substrate cassette elevator for 8 inch substrates, allows loading/unloading the substrates without breaking vacuum in the deposition chamber.

AJA Sputtering, 2 inch targets

AJA General Sputtering System (2-inch Targets). This is a compact AJA Orion thin-film deposition system. Although it is used primarily for deposition of metal thin films and multilayered metal film structures, oxide and nitride thin-film depositions also are possible. The system is equipped with a cluster of four magnetron sputtering sources with adjustable tilt that work with 2-inch-diameter targets. It can accommodate small substrates as well as wafers up to 6 inches in diameter. The substrates can be heated up to 800°C. The load-lock chamber allows loading/unloading the substrates without breaking vacuum.

AMAT P5000 Deposition Tool (SiNx, SiO2, TEOS Films)

The Applied Materials P5000 Plasma Enhanced Chemical Vapor Deposition System is for depositing silane-based oxides and nitrides at temperatures as low as 200oC, as well as tetraethoxysilane (TEOS, used primarily as a sacrificial layer). Micrometer thick films can be deposited in 15 minutes with high wafer-to-wafer reproducibility and good across-wafer uniformity. This tool complements the Oxford ICP-CVD. It can handle small samples (i.e. 5x5 mm) but only using a 6” carrier wafer, and 6” wafers. The system became available as a user tool on 9/28/2020; it is listed on the user proposal form as "AMAT P5000 Deposition Tool (SiNx, SiO2, TEOS Films)" and operates under NST-SOP-218.

Angstrom Engineering Thermal Evaporator

Our Angstrom Engineering Nexdep thermal evaporator is a flexible tool with four different boat sources and a sample heating chuck that enables substrate temperatures up to 600 C (with rotation). The capabilities of this system target three different physical vapor deposition needs for CNM users. Ultrasmooth plasmonic films deposited at high temperature and high rate: There is a burgeoning scientific interest on developing visible and near-infrared plasmonic layers with low surface roughness and low optical loss. The typical process is to slowly deposit films of noble metals with small grain size and modest surface roughness (few nm RMS). However, there are several demonstrations in the literature of significant improvements in loss possible by switching to epitaxial growth of noble metals using high deposition rates (~4 nm/sec) of silver or gold films at high temperature (>300 C). These films offer 0.2-0.3 nm RMS roughness and large improvements in plasmonic loss due to their ultrasmooth surfaces. Unconventional depositions: There is a growing need for users to deposit thick layers of conventional PVD materials (e.g. aluminum) that exceed the deposition limits of existing CNM PVD tools or magnetic materials (e.g nickel) that will contaminate other chambers. This system can be used for these unconventional deposition scenarios. Co-evaporation of alloys: In addition to single material deposition, the Nexdep thermal evaporator has the ability to co-deposit various materials for useful alloys. While this capability is present in the various CNM sputtering chambers, there is a strong risk of permanently contaminating the co-deposition targets because they are in the same plasma, and loading of multiple targets may be time prohibitive.

Angstrom Sputtering System

The Angstrom Quantum Sputtering System is used to deposit thin films via magnetron sputtering. The tool can process substrates up to 6” and has a loadlock that can hold up to 25 wafers. The tool has four sputtering sources, a low energy ion source for ion beam assisted sputtering, and can heat and RF-bias the substrate.

AS-One 150 Rapid Thermal Processor

AS-one Rapid Thermal Processing Furnace is an instrument that allows annealing of wafers up to 6 inch at a ramp rate of up to 200°C/s in different atmospheres. It is equipped with gas mixing capabilities and can heat wafers up to 1500°C.

Atomic Layer Deposition (Arradiance Gemstar)

Arradiance Gemstar-8 Atomic Layer Deposition. This research-grade system has 6 precursor lines and the design allows for two modes of operation: thermal continuous mode and thermal exposure mode for ultrahigh-aspect-ratio deposition and material modification. Depositions of Al2O3, TiO2, ZnO, HfO2, Ir, and Pt are possible. The system is connected to an ozone generator for in situ cleaning and reactive gas. The chamber permits loading samples up to 8 inches in diameter.

Bruker FastScan Atomic Force Microscopy (AFM)

The Bruker Dimension FastScan atomic force microscope (AFM) combines a high-speed scanning AFM, programmable stage control, and a user friendly interface to provide rapid nanoscale imaging without compromising highest resolution. The expanded software and intuitive workflow delivers automated laser and detector alignment, a built-in user-accessible cantilever database for system auto-settings, fast and safe engage control, and many additional features that make even the most advanced AFM techniques much easier to perform. It accommodates substrates ranging from 200 mm diameter wafers down to small pieces. The system supports scan areas of up to 35 µm x 35 µm and can automatically collect data from multiple locations on a substrate. The ScanAsyst mode offers automatic image optimization technology that makes it easier and faster to achieve expert-quality results. Other AFM modes are also available.

Critical Point Dryer (Leica CPD300)

This is an efficient tool for drying delicate samples without damaging its structure through surface tension that occurs when changing from the liquid to the gaseous phase. The tool has integrated heating and refrigeration, an easy to use interface, and low CO2 consumption.

e3511 Plasma Asher

The e3511 Plasma Asher uses a downstream oxygen plasma to quickly remove photoresist from wafers. The asher is fully automated based upon 6” wafers (smaller pieces can be used on a special carrier wafer) and can process cassettes of up to 25 wafers. The asher has recipes for resist striping and descum processes.

Electron Beam Lithography System: JEOL 8100FS

This system is capable of producing a 4-nm focused beam of electrons with a 1-nm placement address grid over a full 1-mm field at an accelerating voltage of 100 kV. The tool can handle up to 300-mm samples and the stage has sub-25-nm placement accuracy over the entire area. The CNM has cassettes that can handle small samples ranging in size from 10 mm per side up to 150 mm-diameter wafers. Stitching errors are below 20 nm, and patterning capability approaching 10 nm has been demonstrated.

Electron Beam Lithography System: Raith 150

This system is capable of producing a 3-nm focused beam of electrons with a 2-nm placement address grid over a 100-micron field at voltages ranging from 1 kV to 30 kV. It can handle small pieces ranging in size from 5 mm up to 100-mm wafers. The stage has sub-50 nm placement accuracy over the entire area. Stitching errors are below 50 nm. Patterning capability approaching 10 nm has been demonstrated. There is a built-in SEM for smaller pieces and 2D material samples. This tool has an easy-to-navigate Windows user interface and patterning program.

Focused Ion Beam: FEI Nova 600 NanoLab

This is a FEI Dual Beam FIB-SEM with Raith Elphy 30-kV Ion Beam Lithography. This instrument, which is equipped with a 16-bit pattern generator, combines high resolution SEM with accurate FIB etch and deposition capabilities. The system is capable of producing a 7-nm focused beam of ions with 2-nm placement addressing over a full 100-um field. It can handle up to 150-mm samples, and the stage has sub-100-nm placement accuracy over the entire area. Stitching errors can be below 50 nm with use of alignment marks. This tool is interfaced with Raith 150 pattern-generating hardware and software for micromachining and gas-assisted deposition and milling applications. Additional capabilities include energy dispersive spectroscopy (EDS,) an Omniprobe manipulator for TEM lamella preparation, and multiple beam-assisted gas injection systems for deposition (Pt, Co) and enhanced etching (XeF2).

Heidelberg MLA 150 Maskless Lithography

HEIDELBERG MLA 150 ADVANCED MASKLESS ALIGNER Non-contact exposure, outstanding ease of use, and high speed make the Maskless Aligner MLA150 the ideal tool in rapid prototyping environments, for low- to mid-volume production, and in Research & Development. The MLA150 now presents the modern-day alternative to the traditional Mask Aligner. Maskless photolithography eliminates the need for a photomask: The system exposes the pattern directly onto the resist-covered surface. Should design modifications be required, these can be quickly implemented by changing the CAD layout, resulting in much-reduced cycle-times. You will also benefit from a fast, automated front- and backside alignment procedure as well as the outstanding speed: Exposing an area of 100 x 100 mm² with structures as small as 1 micron will take less than 10 minutes. Features: Maximum exposure area: 6” x 6” Minimum structure size down to 0.6 μm Maximum write speed: 1400 mm2/min at 1 μm feature size Real-time autofocus Overview camera for fast alignment and inspection Front- and backside alignment Temperature-controlled environmental chamber Exposure wavelengths: 405 nm and 375 nm Draw Mode for CAD-less exposure Standard Grayscale Exposure Mode

Hybond 626 Wire bonder

The Hybond is a deep access wedge and ball bonder for samples with large height differences between 1st and 2nd bond.

Integrated UV-Ozone Cleaner and Molecular Vapor Coater (Nanonex Ultra-100)

Integrated UV-Ozone Cleaner and Molecular Vapor Coater (Nanonex Ultra-100)

K-Space Stress Gauge

This is a flexible, high-resolution scanning curvature, stress, thickness, tilt, and absolute reflectance measurement system. It simultaneously measures the sample curvature in two orthogonal directions using a two-dimensional laser array. It performs high resolution XY scanning of semiconductor wafers, optical mirrors, lenses, or practically any polished surface. kSA MOS UltraScan XY scanning provides uniform spatial scan resolution over the entire wafer/sample surface. Scans are fully programmable for line scans, selected area maps, and full area maps, perfect for circular, square or patterned samples. The system also provides quantitative film stress analysis by first scanning the sample and then re-scanning the sample post-process.

Keyence 3D Laser Scanning Confocal Microscope, VK-X1000

The system allows high-resolution color imaging, non-destructive measurement, and no material restrictions. Accurate 3D observation is possible even for targets with steep angles and large height differences.Accurate nano-level measurements on transparent substrates (i.e. glass, quartz). The system is doing evaluation of surface roughness on a larger scale (cm2) and contact-free, in contrast to contact measurements by AFM (mm2) or stylus profilometry (line scans).

Lambda Microwave Plasma CVD System: nanocrystalline diamond deposition

Lambda 915 MHz Microwave Plasma CVD. This system is used for the growth of nanocrystalline diamond with a dedicated hydrogen gas supply and with a level of moisture less than 1 ppm, which significantly improves thin-film quality. It was updated with isotopically pure methane and nitrogen gases to allow synthesis of isotopically pure undoped and nitrogen-incorporating diamond thin films.

Laser Confocal Microscope OLS4100

Olympus OLS 4000 LEXT (ACR) is a laser confocal microscope that can take high resolution 3D images by acquiring successive images of the sample between two heights. It then recombines the laser acquired images and the color images to produce a 3D projection that can be measured. It can measure dimensions, roughness, area, volumes, etc. Its specially developed lenses produce high quality images of the sample. The z axis resolution is 10 nm and the x-y resolution is 120 nm.

Laser Pattern Generator (Microtech LW405, direct write optical lithography)

Laser Pattern Generator Laser Writer (LW405). This is a direct-write optical laser pattern generator capable of direct write on multiple-sized substrates, ranging from wafers to photomasks. Non-flat samples can be exposed. It is capable of rapid prototyping and can be used in a mix-and-match mode with our e beam lithography tools. The system includes a pattern generator that can accept standard layout file formats such as GDSII and CIF.

LatticeGear Cleaving Machine

LatticeAx420 is a high accuracy indent and cleaving system that delivers cleaving accuracy of 10-μm in <5 min. and can accommodate a variety of sample sizes, thicknesses and materials. The base is integrated with a vision package that includes a monocular microscope with 4-μm optical resolution, color CCD camera and real-time image acquisition and display software, and an X-Y stage. This dedicated cleaving workstation is designed so any user can survey, align, micro-indent, cleave, and inspect processed samples.

Multifunctional Tribometer (controlled environment)

This instrument is capable of determining friction and wear measurements in controlled environments. Unique capabilities include: (a) Quantitative measurements of friction/wear and characterization of chemical states within the wear track at the same time. (b) Both linear and rotating friction tests are possible. (c) The tool has an integrated tribometer, profilometer and Raman spectrometer. (d) A variety of environmental conditions including dry, humid, high vacuum and high temperatures. (e) Raman mapping of the wear track along with topographic information at the micron scale.

ONTOS Atmospheric Plasma Surface Treatment System

The ONTOS Atmospheric Plasma Surface treatment system has the ability to generate a gentle atmospheric plasma using 4 gases: He, He/H2, N2, and O2. This system is particularly critical for surface metal oxide removal prior to metal-to-metal bump bonding in the associated FC150 Flip Chip bonder.

Oxford Plasmalab 100 Inductively Coupled Plasma Enhanced Chemical Vapor Deposition

Oxford Plasmalab System 100 ICP-CVD. This tool produces high-quality films with high-density plasmas at lower deposition pressures and temperatures than PECVD. Compared with PECVD, ICP-CVD provides lower film contamination, a higher control of film stoichiometry, more dense films, reduced radiation damage by direct ion-surface interaction, and more stable device performance at high temperatures. Typical deposited materials include silicon dioxide, nitride and amorphous silicon thin films (SiO2, Si3N4, SiON, poly-Si, and SiC) at substrate temperatures as low as 20°C. This temperature enables lift-off processes for dielectrics and semiconductors, and deposition on soft materials such as plastics, membranes, and polymers. It can handle small samples (i.e. 5x5 mm) but only using an 8” carrier wafer, and 8” wafers.

Oxford PlasmaPro 100 Cobra, RIE-ICP and ALE

The system is a state-of-the-art etch systems that provides transfer of patterned nanoscale features of arbitrary shape on to a variety of substrate materials of different sizes and shapes. The control of the entire system is done through a computer. The RF generator provides an output up to 300 W, and the ICP plasma etch source up to 3000 W with automatic impedance matching. The cathode temperature is controlled between -120oC and 400oC. The gases are: Ar, O2, Cl2, BCl3, CF4, N2, CHF3, C4F8, H2, SF6 and HBr. The nominal flow rates are between 10-100 sccm. The base pressure is lower than 10-5 Torr. Atomic Layer Etching kit permits doing this new technique in the same chamber.

PlasmaTherm Deep Reactive Ion Etcher (DRIE) for silicon

DRIE: PlasmaTherm VersaLine Deep Reactive Ion Silicon Etcher III. The system is used for Si deep etching. The system provides profile control and side wall smoothness while utilizing award-winning fast process control. Outstanding flexibility and process latitude are offered as well as the highest selectivity to mask material. The system offers: profile control with parameter morphing, smooth side wall with short process step times (with fast gas switching, fast and stable pressure control, and solid state RF tuning), notchless silicon-on-insulator etching (with innovative RF bias waveform con and patented endpoint algorithm techniques), aspect ratio dependent etching reduction, process stability (temperature stabilized ICP), integrated multifunctional endpoint capability with OES using EndpointWorks, high-aspect-ratios, smooth sidewalls, high uniformity, high selectivity, notchless SOI, profile control, optimized etch rates. It can handle small samples (i.e. 5x5 mm) but only using a 6” carrier wafer, and 6” wafers.

POMS: Piezo-optomechanical Spectrometer

This new capability within the Nanofabrication & Devices Group characterizes the optical and mechanical spectrum of high-frequency piezo-optomechanical nano devices. The tool features precise fiber side alignment for infrared (IR) optical input and detection, in combination with the piezoelectric actuation capability from a microwave antenna to drive nano-mechanical motions of the device. High-frequency mechanical motions up to tens of GHz can be detected. The setup is on a movable platform so it can also be used in other labs if needed.

RIE March CS-1701, Fluorine Chamber

March Plasma CS-1701 Tabletop RIE Tool, Fluorine Chemistry. This tool is dedicated to rapid prototype etching. It supports most basic etch needs, leaving the Oxford Instruments tool available for more complex etch work. This easy-to-use tool serves as an educational vehicle for novices in plasma-reactive ion etch processing. The gases available are Ar, O2, CF4, H2, and SF6. The estimated etch rates are 50 nm/min for TiW and 30-70 nm/min for SiO2. It can handle small samples (i.e. 5x5 mm) until 6” wafers.

RIE Oxford ICP etcher (6-inch)

This system is a single-process-station ICP-RIE equipped with chlorine- and fluorine-based etch chemistries and a single automatic load-lock/transfer chamber. Wafers and substrates with dimensions of 5 mm x 5–150 mm can be heated from room temperature to 600°C. The gas chemistries are designed for maximum flexibility to etch metals, dielectrics, complex oxides and other nonconventional thin films. The gases available for this system are Ar, O2, SF6, CHF3, BCl3 and Cl2. This system is optimized for etching Nb, Si, SiO2 and SiN. The process chamber is coupled to an optical spectrometer and an integrated laser interferometer to be used as end-point detectors. It can handle small samples (i.e. 5x5 mm) with using a 6” carrier wafer, and 6” wafers.

RIE Oxford PlasmaLab 100, Chlorine Chamber

Oxford Instruments Dual-Chamber ICP-RIE Plasmalab 100. This system has a single load lock that connects to two chambers, one each dedicated to chlorine-based and fluorine-based etch chemistries. Both chambers have a cryo-cooling option for high-resolution etching, and can be heated until 400°C. The gas chemistries are designed for maximum flexibility to etch metals, dielectrics, complex oxides, and other nonconventional thin films. The gases available for the ICP-Chlorine chamber are Ar, O2, Cl2, BCl3, CO, SF6, CHF3, and HBr. The gases available for the ICP-Fluorine chamber are Ar, O2, CF4, CH4, SF6, CHF3, HCFC-124, and H2. The ICP-Fluorine chamber was upgraded to enable a Bosch-like process for deep etching of silicon. It can handle small samples (i.e. 5x5 mm) but only using a 4” carrier wafer, and 4” wafers.

RIE Oxford PlasmaLab 100, Fluorine Chamber

Oxford Instruments Dual-Chamber ICP-RIE Plasmalab 100. This system has a single load lock that connects to two chambers, one each dedicated to chlorine-based and fluorine-based etch chemistries. Both chambers have a cryo-cooling option for high-resolution etching, and can be heated until 400°C. The gas chemistries are designed for maximum flexibility to etch metals, dielectrics, complex oxides, and other nonconventional thin films. The gases available for the ICP-Chlorine chamber are Ar, O2, Cl2, BCl3, CO, SF6, CHF3, and HBr. The gases available for the ICP-Fluorine chamber are Ar, O2, CF4, CH4, SF6, CHF3, HCFC-124, and H2. The ICP-Fluorine chamber was upgraded to enable a Bosch-like process for deep etching of silicon. It can handle small samples (i.e. 5x5 mm) but only using a 4” carrier wafer, and 4” wafers.

Scanning Electron Microscope VEGA 3 (tungsten filament)

SEM VEGA 3 is a fully PC-controlled scanning electron microscope with a tungsten heating filament with best resolution of 2 nm at 30 kV in high-vacuum mode and 2.5 nm at 30 kV in low-vacuum mode. It has excellent SE and BSE imaging capabilities. The VEGA3 is equipped with a Fisher Scientific silicon drift EDS system. This instrument is best suited to imaging and analysis of coated samples that are stable under the electron beam, e.g. rocks, minerals, ceramics, metals and alloys, but can also image uncoated samples in low-vacuum mode. The techniques available: high-vacuum and variable-pressure secondary electron imaging, backscattered electron imaging, qualitative EDS X-ray microanalysis, quantitative EDS X-ray microanalysis, qualitative, quantitative X-ray element mapping. It can handle small samples (i.e. 5x5 mm) up to 6” wafers. Mechanical tilt from -30 to +90 degrees and rotation by 360 degrees are possible. The system is able to obtain high-resolution images in variable pressure mode.

SPTS uEtch HF Vapor Release tool

The SPTS uEtch HF Vapor etch tool is a single wafer system designed for vapor etching of silicon dioxide to undercut suspended silicon and silicon nitride devices. This process uses anhydrous hydrofluoric acid (HF) vapor in order to eliminate stiction, which may cause the collapse of delicate nanostructures using conventional liquid HF processing. There are multiple etch rate processes available, and this processing is compatible with several masking materials so please consult with the tool custodian.

Stepper: ASML PAS 5000 wafer stepper

I-line ASML PAS 5000 5X Reduction Stepper. Acquired from NIST in late 2013 using the government equipment exchange program, the stepper was modified to process up to 150-mm-diameter wafers using 5–inch reticles. The tool has demonstrated 500-nm lines and spaces with an automated layer-to-layer alignment of approximately 100 nm. The lens has a 0.48 numerical aperture and a field size of 21.2 mm.

Surface Profiler

The system measures the feature height or surface roughness of the samples by scanning over it with a stylus. Features and specifications: designed to accommodate wafers upto 6” in diameter, contact based 2D topography measurement, thin film stress measurement, vertical range: 50 Å to 1mm, scan length range: 50 m to 100 mm (200 mm stitched), stylus tracking force: 0.03 to 15 mg, maximum sample thickness 1”.

SUSS MA6/BA6: Contact aligner for front side and front-to-back side alignment

SUSS MA6/BA6 Contact Aligner. This tool is used for micropatterning and permits exposure in different modes. In vacuum contact printing mode, a resolution of 0.8-m critical dimensions can be achieved, with <1 m front-to-front alignment accuracy, and 1-m front-to-back side alignment accuracy. It also can expose in proximity, soft contact, hard contact, low-vacuum contact and high-vacuum modes. It can handle flat substrate sizes including 6-, 5-, 4-, and 3-inch wafers; 7-, 5-, and 4-inch square masks; also smaller pieces. G- and I line lithography is available using specific filters. Photoresists and other photosensitive materials in the range of 350–430 nm can be used.

Temescal FC2000 Electron Beam Evaporator

Temescal FC2000 Electron Beam Evaporator. This instrument deposits thin layers of metal films (Au, Pt, Ti, Cr, Al) in the range of 10-300 nm with a maximum wafer size of 6 inches.

UVISEL Spectroscopic Ellipsometer: Horiba Jobin Yvon

The UVISEL FUV-NIR HORIBA Spectroscopic Ellipsometer is a metrology system for thin-film applications, non-destructive optical technique, based on polarization change upon reflection from a sample and dependent on properties of the sample. It can do measurements spectroscopic or single wavelength, and measures thicknesses and optical constants. The spectral range is from 190-2100 nm or 0.6-6.5 eV, and variable angle. Classes of materials: metals, semiconductors, insulators. Ellipsometry provides information for: film thickness, optical properties, surface roughness, interfacial mixing, composition, crystallinity, anisotropy, depolarization, optical band gap, alloy composition, and uniformity by both depth and area. It can handle small samples up to 6” wafers. It has a motorize stage and full grid wafer map can be acquired and analyzed.

Xactix X4 xenon difluoride etcher

Xetch, XACTIX–X4 XeF2 Isotropic Silicon Etcher. This tool provides a vapor phase etch with high selectivity of silicon to photo-resist, silicon dioxide, silicon nitride and aluminum. It is designed for manual wafer loading to ensure substrate geometry flexibility and can be used to etch silicon wafers up to 150 mm in diameter.

Characterization

Adiabatic Demagnetization Refrigerator (ADR)

The Adiabatic Demagnetization Refrigerator (ADR) provides sub-Kelvin temperatures cooling capability with fast cool-down times. The instrument is equipped with microwave spectroscopy capabilities and magnetic field capabilities to manipulate microwave, spin and other quantum information systems. When fully commissioned the tool also will have optical and mm wave capabilities. Purchase date: 9/17/2019 (Award date of PARIS order). NST-AAP-118 - Commissioning of Adiabatic Demagnetization Refrigerator